Ushio Inc.
S-Cubed, Inc
Nikon Corporation
NIHON DEMPA KOGYO CO., LTD.
Kyodo International, Inc.
INTEGRATED DYNAMICS ENGINEERING GmbH
Finisar
EV Group
ASML Holding N.V.

10 Semiconductor Lithography Equipment Manufacturers in 2024

This section provides an overview for semiconductor lithography equipment as well as their applications and principles. Also, please take a look at the list of 10 semiconductor lithography equipment manufacturers and their company rankings. Here are the top-ranked semiconductor lithography equipment companies as of April, 2024: 1.Finisar, 2.INTEGRATED DYNAMICS ENGINEERING GmbH, 3.ASML Holding N.V..

Table of Contents

Categories Related to Semiconductor Lithography Equipment

Supervisor of this article

Department of Chemistry, Graduate School of Science, Tohoku University (Master of Science)

As a display engineer, engaged in Seiko Epson Corporation, Sony Corporation, Japan Display Corporation, etc.
https://researchmap.jp/tanakachihiro
https://www.linkedin.com/in/chihiro-tanaka/

List of 10 Semiconductor Lithography Equipment Manufacturers

*Including some distributors, etc.

Sort by Features

  • Default
  • Company Size: largest first
  • Year Founded: oldest first
  • Year Founded: earliest first

Sort by Area

  • United States of America
  • Austria
  • Germany
  • Japan
  • Netherlands
    • Finisar
      • United States of America Address: 375 Saxonburg Blvd. Saxonburg, Pennsylvania, United States of America

      Manufacturer Overview

      Finisar Corporation is a manufacturer of optical communication components and subsystems. The company was founded in April 1987 and situated at Sunnyvale, California. The company is a global technology company in optical communications. Its products enable high-speed voice, video, and data communications for networking, storage, wireless, and cable TV applications. The company has created solutions in optics technology and supplied system manufacturers with production volume for network bandwidth. The company develops a product line primarily for telecommunications, using EY-amplification technologies including erbium-doped fiber amplification, Raman amplification, and dynamic hybrid amplification.

    • Ushio Inc.
      • Japan Address: 1-6-5 Marunouchi, Chiyoda-ku, Tokyo, Japan

      Manufacturer Overview

      Ushio Inc., established in 1964 in Tokyo, Japan, is a manufacturer and supplier of specialized light sources, with a particular interest in ultraviolet (UV) and visible light. The company boasts an extensive product portfolio that includes various lighting solutions, including laser diodes, UV lamps, visible lights, lamps designed for projectors, and halogen lamps. These diverse products cater to a wide array of industries and applications, including architecture and landscape illumination, disinfection and deodorizing, litho-patterning, optical systems, as well as curing and bonding processes.

    • NIHON DEMPA KOGYO CO., LTD.
      • Japan Address: Merkmal Keio Sasazuka Bldg. 1-47-1 Sasazuka, Shibuya-ku, Tokyo, Japan

      Manufacturer Overview

      NIHON DEMPA KOGYO CO., LTD., founded in 1948, is a Japanese manufacturer and supplier headquartered in Shibuya-ku, Tokyo, specializing in crystal-related products. The company’s product line includes crystal units and oscillators, synthetic quartz crystals, quartz crystal microbalance (QCM) sensors, and millimeter-wave converters. Additionally, the company provides frequency synthesizers, optical components, outgas analysis systems, signal generators, and ultrasound probe (transducers). These products cater to sectors like automotive, healthcare, household appliances, industrial equipment, and medical. Notably, its collaboration with the Japan Aerospace Exploration Agency (JAXA) resulted in the QCM Thermogravimetric Gas Analysis (QTGA) system for analyzing outgas emissions from organic materials in vacuum settings.

    • ASML Holding N.V.
      • Netherlands Address: De Run 6501, Veldhoven, North Brabant, Netherlands

      Manufacturer Overview

      ASML Holding N.V., established in 1984, is an ISO 9001:2015 certified manufacturer of lithography systems that are critical to the production of microchips, including EUV and DUV lithography systems, refurbished PAS 5500, and TWINSCAN systems, used in the medical and electronics industries. With its headquarters in Veldhoven, Netherlands, the company has other locations in the US, Asia, and Europe. The company offers relocation services, training services, customerNet portal for tailored information, and 24-hour delivery time.

    • Nikon Corporation
      • Japan Address: Shinagawa Intercity Tower C, 2-15-3, Konan, Minato-ku, Tokyo, Japan

      Manufacturer Overview

      NIKON CORPORATION was founded in Japan in 1917 and is a global manufacturer of imaging products, optics, precision equipment, and instruments. The company's main product offerings include the Nikon Z series of mirrorless cameras, digital SLR cameras, and COOLPIX. It also offers consumer and professional accessories such as NIKKOR brand optics, Speedlights, system accessories, and software products, along with premium sports and recreational optical products, including full-line binoculars, Fieldscopes, and rangefinders. The company complies with international standards such as ISO 14001:2015 and ISO 45001:2018, catering to industries such as photography and videography, healthcare, manufacturing, and research.

    • Kyodo International, Inc.
      • Japan Address: 2-10-9, Miyazaki, Miyamae-ku, Kawasaki-shi, Kanagawa, Japan

      Company Overview

      Kyodo International, Inc. established in 1970 and based in Kawasaki-shi, Japan, is a manufacturer and distributor of microfabrication tools and equipment. The company's product range includes deposition tools, photolithography equipment, etching machines, CMP processing units, and nanoimprinting tools. These products are used for the development and manufacturing of electronic components and systems. The company serves industries such as electronics manufacturing, microelectromechanical systems (MEMS) production, and life sciences, it ensures the delivery of high-precision tools for intricate manufacturing processes. Its services span the sale, repair, and overhaul of consumable parts.

    • EV Group
      • Austria Address: DI-Erich-Thallner-Straße 1, St. Florian am Inn, Austria

      Company Overview

      EV Group (EVG), established in 1980 in Austria, is a supplier of high-volume production equipment and process solutions for semiconductor, MEMS, compound semiconductor, power device, and nanotechnology device manufacturing. Its product range includes lithography systems, bonding systems, nanoimprint lithography (NIL) equipment, metrology systems, and photoresist coaters, vital in semiconductor manufacturing. The company have earned numerous accolades, including the 3D InCites Award, 2023 ISES Equipment Manufacturer of the Year Award, and known as one of the suppliers of fab equipment to foundation chip makers, emphasizing its excellence in the industry.

Semiconductor Lithography Equipment Manufacturer Ranking

*Including some distributors, etc.

Derivation Method

The ranking is calculated based on the click share within the semiconductor lithography equipment page as of April 2024. Click share is defined as the total number of clicks for all companies during the period divided by the number of clicks for each company.

Number of Employees

  1. ASML Holding N.V.: 39,086
  2. Finisar: 28,000
  3. Nikon Corporation: 18,437

Newly Established Company

  1. INTEGRATED DYNAMICS ENGINEERING GmbH: 1990 (34 years ago)
  2. ASML Holding N.V.: 1984 (40 years ago)
  3. Kyodo International, Inc.: 1970 (54 years ago)

Company with a History

  1. Nikon Corporation: 1917 (107 years ago)
  2. Mikasa Co., Ltd: 1921 (103 years ago)
  3. NIHON DEMPA KOGYO CO., LTD.: 1948 (76 years ago)

Semiconductor Lithography Equipment Manufacturers in United States

*Including some distributors, etc.

Global Distribution of Semiconductor Lithography Equipment Manufacturers by Country

*Including some distributors, etc.

Country Number of Companies Share (%)
Japan Japan 5 55.6%
United States of America United States of America 1 11.1%
Netherlands Netherlands 1 11.1%
Austria Austria 1 11.1%
Germany Germany 1 11.1%

Related Products

Search from All Categories

This is the version of our website addressed to speakers of English in the United States. If you are a resident of another country, please select the appropriate version of Metoree for your country in the drop-down menu.

Copyright © 2024 Metoree